理论教育 VerilogHDL基础知识|FPGA设计实战

VerilogHDL基础知识|FPGA设计实战

时间:2023-11-20 理论教育 版权反馈
【摘要】:本章介绍Verilog HDL的语言规则、数据类型和语句结构,并介绍最基本、最典型的数字逻辑电路的Verilog HDL描述,作为Verilog HDL工程设计的基础。1985年Moorby推出第三个商用仿真器Verilog-XL,获得了巨大的成功,从而使得Verilog HDL迅速得到推广应用。Verilog HDL的最大特点就是易学易用,有C语言编程经验的人可以在较短的时间内学习和掌握Verilog HDL。当然,集成电路设计人员必须掌握Verilog HDL,因为在IC设计领域,90%以上的公司都是采用Verilog HDL的。

VerilogHDL基础知识|FPGA设计实战

本章介绍Verilog HDL的语言规则、数据类型和语句结构,并介绍最基本、最典型的数字逻辑电路的Verilog HDL描述,作为Verilog HDL工程设计的基础。

硬件描述语言(hardware description language,HDL)发展至今已经有多年的历史。现在主要的语言VHDL(very-high speed-integrated hardware description language)和Verilog HDL适应了历史发展的趋势和要求,先后成为IEEE标准。硬件描述语言是一种以文本形式来描述数字系统硬件的结构和行为的语言,用它可以描述逻辑电路图、逻辑表达式,还可以表示数字逻辑系统所完成的逻辑功能,任何数字逻辑电路都可以通过硬件描述语言设计实现。硬件描述语言就是用语言描述替代图形化(元件拼凑)设计,把我们要实现的功能和思想用语言的形式写出来,转换成实际电路的工作就交给EDA工具去做,从而简化了设计的工作,节约了开发的时间。

Verilog HDL语言是在最广泛的C语言的基础上发展起来的一种硬件描述语言,它是由GDA(Gateway Design Automation)公司的Phil Moorby在1983年末首创的,最初只设计了一个仿真与验证工具,之后又陆续开发了相关的故障模拟与时序分析工具。1985年Moorby推出第三个商用仿真器Verilog-XL,获得了巨大的成功,从而使得Verilog HDL迅速得到推广应用。Verilog HDL的最大特点就是易学易用,有C语言编程经验的人可以在较短的时间内学习和掌握Verilog HDL。(www.daowen.com)

选择学习VHDL还是Verilog HDL?这是一个初学者最常见的问题。其实这两种语言的差别并不大,它们的描述能力也是类似的。掌握其中一种语言以后,可以通过短期的学习,较快地学会另一种语言。选择何种语言主要还是看周围人群的使用习惯,这样可以方便日后的学习交流。当然,集成电路设计人员必须掌握Verilog HDL,因为在IC设计领域,90%以上的公司都是采用Verilog HDL的。对于PLD/FPGA设计者而言,两种语言可以自由选择。

设计人员通过计算机对HDL进行逻辑仿真和逻辑综合,方便高效地设计数字电路及其产品。常用的Verilog HDL开发软件有Altera公司的MAX+plusⅡ、QuartusⅡ,Xilinx公司的ISE和Mentor Graphics公司的ModelSim。

免责声明:以上内容源自网络,版权归原作者所有,如有侵犯您的原创版权请告知,我们将尽快删除相关内容。

我要反馈